光学学报, 2019, 39 (1): 0122001, 网络出版: 2019-05-10   

16 nm极紫外光刻物镜热变形对成像性能影响的研究 下载: 1348次

Effect of Thermal Deformation on Imaging Performance for 16 nm Extreme Ultraviolet Lithography Objective
作者单位
1 北京理工大学光电学院光电成像技术与系统教育部重点实验室, 北京 100081
2 北京航天计量测试技术研究所, 北京 100076
引用该论文

李艳秋, 刘岩, 刘丽辉. 16 nm极紫外光刻物镜热变形对成像性能影响的研究[J]. 光学学报, 2019, 39(1): 0122001.

Yanqiu Li, Yan Liu, Lihui Liu. Effect of Thermal Deformation on Imaging Performance for 16 nm Extreme Ultraviolet Lithography Objective[J]. Acta Optica Sinica, 2019, 39(1): 0122001.

参考文献

[1] Wagner C, Harned N. Lithography gets extreme[J]. Nature Photonics, 2010, 4(1): 24-26.

[2] Pirati A, van Schoot J, Troost K, et al. . The future of EUV lithography: enabling Moore's law in the next decade[J]. Proceedings of SPIE, 2017, 10143: 101430G.

[3] 刘菲, 李艳秋. 大数值孔径产业化极紫外投影光刻物镜设计[J]. 光学学报, 2011, 31(2): 0222003.

    Liu F, Li Y Q. Design of high numerical aperture projection objective for industrial extreme ultraviolet lithography[J]. Acta Optica Sinica, 2011, 31(2): 0222003.

[4] 王丽萍. 长春光机所承担的国家科技重大专项项目“极紫外光刻关键技术研究”通过验收[J]. 分析仪器, 2017( 4): 96.

    Wang LP. The project of “study of key technology for extrem-ultraviolate lithography” passed the acceptance inspection[J]. Analytical Instrumentation, 2017( 4): 96.

[5] 张恒, 李思坤, 王向朝. 基于变量分离分解法的极紫外光刻三维掩模快速仿真方法[J]. 光学学报, 2017, 37(5): 0505001.

    Zhang H, Li S K, Wang X Z. Fast simulation method of extreme-ultraviolet lithography 3D mask based on variable separation degration method[J]. Acta Optica Sinica, 2017, 37(5): 0505001.

[6] 曹振, 李艳秋, 刘菲. 16~22 nm极紫外光刻物镜工程化设计[J]. 光学学报, 2013, 33(9): 0922005.

    Cao Z, Li Y Q, Liu F. Manufacturable design of 16-22 nm extreme ultraviolet lithographic objective[J]. Acta Optica Sinica, 2013, 33(9): 0922005.

[7] Liu F, Li Y Q. Design of multi-mirror optics for industrial extreme ultraviolet lithography[J]. Optical Review, 2013, 20(2): 120-126.

[8] 沈诗欢, 李艳秋, 姜家华, 等. 组合倍率极紫外光刻物镜梯度膜设计[J]. 光学学报, 2017, 37(8): 0822002.

    Shen S H, Li Y Q, Jiang J H, et al. Graded multilayer film design for anamorphic magnification EUV lithographic objective[J]. Acta Optica Sinica, 2017, 37(8): 0822002.

[9] Raychaudhuri A K, Gianoulakis S E, Spence P A, et al. Impact of thermal and structural effects on EUV lithographic performance[J]. Proceedings of SPIE, 1998, 3331: 124-132.

[10] Li Y Q, Ota K, Murakami K. Thermal and structural deformation and its impact on optical performance of projection optics for extreme ultraviolet lithography[J]. Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, 2003, 21(1): 127-129.

[11] 杨光华, 李艳秋. 22 nm极紫外光刻物镜热和结构变形及其对成像性能影响[J]. 光学学报, 2012, 32(3): 0322005.

    Yang G H, Li Y Q. Thermal and structural deformation of projection optics and its influence on optical imaging performance for 22 nm extreme ultraviolet lithography[J]. Acta Optica Sinica, 2012, 32(3): 0322005.

[12] Pirati A, Peeters R, Smith D, et al. EUV lithography performance for manufacturing: status and outlook[J]. Proceedings of SPIE, 2016, 9776: 97760A.

[13] Lowisch M, Kuerz P, Mann H J, et al. Optics for EUV production[J]. Proceedings of SPIE, 2010, 7636: 763603.

[14] 曹振, 李艳秋, 孙圆圆. 极紫外光刻物镜补偿器的选择及定位精度分析[J]. 光学学报, 2015, 35(12): 1211003.

    Cao Z, Li Y Q, Sun Y Y. Compensator selection and accuracy analysis for extreme ultraviolet lithographic objective[J]. Acta Optica Sinica, 2015, 35(12): 1211003.

[15] Shiraishi M. Surface-corrected multilayer-film mirrors with protected reflective surfaces, exposure systems comprisingsame, associated methods:0204861A1[P]. 2008-08-28.

[16] Folta J A, Bajt S, Barbee T W, et al. Advances in multilayer reflective coatings for extreme ultraviolet lithography[J]. Proceedings of SPIE, 1999, 3676: 702-710.

[17] FrommeyerA, Morrison GF, KellerW, et al. Optical subassembly and projection objective in semiconductor lithography: US7448763B2[P].2008-12-11.

[18] Jasthi B K, Arbegast W J, Howard S M. Thermal expansion coefficient and mechanical properties of friction stir welded Invar (Fe-36%Ni)[J]. Journal of Materials Engineering and Performance, 2009, 18(7): 925-934.

[19] Peeters R, Lok S, Alphen E, et al. ASML’s NXE platform performance and volume introduction[J]. Proceedings of SPIE, 2013, 8679: 86791F.

[20] Sasian J M, Descour M R. Power distribution and symmetry in lens system[J]. Optical Engineering, 1998, 37(3): 1001-1004.

李艳秋, 刘岩, 刘丽辉. 16 nm极紫外光刻物镜热变形对成像性能影响的研究[J]. 光学学报, 2019, 39(1): 0122001. Yanqiu Li, Yan Liu, Lihui Liu. Effect of Thermal Deformation on Imaging Performance for 16 nm Extreme Ultraviolet Lithography Objective[J]. Acta Optica Sinica, 2019, 39(1): 0122001.

本文已被 6 篇论文引用
被引统计数据来源于中国光学期刊网
引用该论文: TXT   |   EndNote

相关论文

加载中...

关于本站 Cookie 的使用提示

中国光学期刊网使用基于 cookie 的技术来更好地为您提供各项服务,点击此处了解我们的隐私策略。 如您需继续使用本网站,请您授权我们使用本地 cookie 来保存部分信息。
全站搜索
您最值得信赖的光电行业旗舰网络服务平台!